Open Bug 1463516 Opened 7 years ago Updated 2 years ago

Change bufferlist's iterator to behave like traditional iterators at end-of-iteration

Categories

(Core :: MFBT, enhancement)

enhancement

Tracking

()

Tracking Status
firefox62 --- affected

People

(Reporter: Alex_Gaynor, Unassigned)

Details

Currently if you reach the end of iteration of a BufferList iterator, it places itself at the end of the final segment. In bug 1462912 we discuss that'd it'd be more natural for many use cases for the iterator to be poitned one past the final segment.
Severity: normal → S3
You need to log in before you can comment on or make changes to this bug.